Prati
Florian Klemme
Florian Klemme
Potvrđena adresa e-pošte na iti.uni-stuttgart.de - Početna stranica
Naslov
Citirano
Citirano
Godina
Impact of variability on processor performance in negative capacitance finfet technology
H Amrouch, G Pahwa, AD Gaidhane, CK Dabhi, F Klemme, O Prakash, ...
IEEE Transactions on Circuits and Systems I: Regular Papers 67 (9), 3127-3137, 2020
562020
Machine learning for on-the-fly reliability-aware cell library characterization
F Klemme, H Amrouch
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (6), 2569-2579, 2021
262021
Modeling emerging technologies using machine learning: Challenges and opportunities
F Klemme, J Prinz, VM van Santen, J Henkel, H Amrouch
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
252020
Scalable machine learning to estimate the impact of aging on circuits under workload dependency
F Klemme, H Amrouch
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (5), 2142-2155, 2022
192022
Cell library characterization using machine learning for design technology co-optimization
F Klemme, Y Chauhan, J Henkel, H Amrouch
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
192020
GNN4REL: Graph neural networks for predicting circuit reliability degradation
L Alrahis, J Knechtel, F Klemme, H Amrouch, O Sinanoglu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
122022
Machine learning for circuit aging estimation under workload dependency
F Klemme, H Amrouch
2021 IEEE International Test Conference (ITC), 37-46, 2021
122021
Impact of ncfet technology on eliminating the cooling cost and boosting the efficiency of google tpu
S Salamin, G Zervakis, F Klemme, H Kattan, Y Chauhan, J Henkel, ...
IEEE Transactions on Computers 71 (4), 906-918, 2021
112021
Efficient learning strategies for machine learning-based characterization of aging-aware cell libraries
F Klemme, H Amrouch
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (12), 5233-5246, 2022
92022
On extracting reliability information from speed binning
ZP Najafi-Haghi, F Klemme, H Amrouch, HJ Wunderlich
2022 IEEE European Test Symposium (ETS), 1-4, 2022
62022
Intelligent methods for test and reliability
H Amrouch, J Anders, S Becker, M Betka, G Bleher, P Domanski, ...
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), 969-974, 2022
62022
Design close to the edge for advanced technology using machine learning and brain-inspired algorithms
H Amrouch, F Klemme, PR Genssler
2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC), 493-499, 2022
52022
Upheaving self-heating effects from transistor to circuit level using conventional EDA tool flows
F Klemme, S Salamin, H Amrouch
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2023
42023
Variability-aware approximate circuit synthesis via genetic optimization
K Balaskas, F Klemme, G Zervakis, K Siozios, H Amrouch, J Henkel
IEEE Transactions on Circuits and Systems I: Regular Papers 69 (10), 4141-4153, 2022
32022
ML to the rescue: Reliability estimation from self-heating and aging in transistors all the way up processors
H Amrouch, F Klemme
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
22023
SyncTREE: Fast Timing Analysis for Integrated Circuit Design through a Physics-informed Tree-based Graph Neural Network
Y Hu, J Li, F Klemme, GJ Nam, T Ma, H Amrouch, J Xiong
Advances in Neural Information Processing Systems 36, 2024
12024
Approximation-aware and quantization-aware training for graph neural networks
R Novkin, H Amrouch, F Klemme
Authorea Preprints, 2023
12023
Design Automation for Cryogenic CMOS Circuits
VM van Santen, M Walter, F Klemme, SS Parihar, G Pahwa, YS Chauhan, ...
2023 60th ACM/IEEE Design Automation Conference (DAC), 1-6, 2023
12023
Robust Resistive Open Defect Identification Using Machine Learning with Efficient Feature Selection
ZP Najafi-Haghi, F Klemme, H Jafarzadeh, H Amrouch, HJ Wunderlich
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-2, 2023
12023
Mitigating the Complexity of Chip Designs with ML-based Cell Library Characterization
F Klemme, H Amrouch
Workshop on Intelligent Methods for Test and Reliability, 2022
12022
Sustav trenutno ne može provesti ovu radnju. Pokušajte ponovo kasnije.
Članci 1–20