Prati
Mohammed Nabeel Thari Moopan
Mohammed Nabeel Thari Moopan
Research Engineer, DFX lab, NYUAD
Potvrđena adresa e-pošte na nyu.edu
Naslov
Citirano
Citirano
Godina
Provably-secure logic locking: From theory to practice
M Yasin, A Sengupta, MT Nabeel, M Ashraf, J Rajendran, O Sinanoglu
Proceedings of the 2017 ACM SIGSAC Conference on Computer and Communications …, 2017
3652017
Nist post-quantum cryptography-a hardware evaluation study
K Basu, D Soni, M Nabeel, R Karri
Cryptology ePrint Archive, 2019
1322019
ATPG-based cost-effective, secure logic locking
A Sengupta, M Nabeel, M Yasin, O Sinanoglu
2018 IEEE 36th VLSI Test Symposium (VTS), 1-6, 2018
892018
Truly stripping functionality for logic locking: A fault-based perspective
A Sengupta, M Nabeel, N Limaye, M Ashraf, O Sinanoglu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
632020
Is robust design-for-security robust enough? attack on locked circuits with restricted scan chain access
N Limaye, A Sengupta, M Nabeel, O Sinanoglu
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
522019
CAD-Base: An attack vector into the electronics supply chain
K Basu, SM Saeed, C Pilato, M Ashraf, MT Nabeel, K Chakrabarty, R Karri
ACM Transactions on Design Automation of Electronic Systems (TODAES) 24 (4 …, 2019
462019
MixLock: Securing mixed-signal circuits via logic locking
J Leonhard, M Yasin, S Turk, MT Nabeel, MM Louërat, R Chotin-Avot, ...
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 84-89, 2019
442019
A hardware evaluation study of NIST post-quantum cryptographic signature schemes
D Soni, K Basu, M Nabeel, R Karri
Second PQC Standardization Conference, 2019
322019
2.5 D root of trust: Secure system-level integration of untrusted chiplets
M Nabeel, M Ashraf, S Patnaik, V Soteriou, O Sinanoglu, J Knechtel
IEEE Transactions on Computers 69 (11), 1611-1625, 2020
272020
Customized locking of IP blocks on a multi-million-gate SoC
A Sengupta, M Ashraf, M Nabeel, O Sinanoglu
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-7, 2018
262018
Fortifying rtl locking against oracle-less (untrusted foundry) and oracle-guided attacks
N Limaye, AB Chowdhury, C Pilato, MTM Nabeel, O Sinanoglu, S Garg, ...
2021 58th ACM/IEEE Design Automation Conference (DAC), 91-96, 2021
252021
Hardware architectures for post-quantum digital signature schemes
D Soni, K Basu, M Nabeel, N Aaraj, M Manzano, R Karri
Springer, 2021
222021
A new paradigm in split manufacturing: Lock the FEOL, unlock at the BEOL
A Sengupta, M Nabeel, J Knechtel, O Sinanoglu
https://ieeexplore.ieee.org/document/8715281/, 2019
162019
Power side-channel attacks in negative capacitance transistor
J Knechtel, S Patnaik, M Nabeel, M Ashraf, YS Chauhan, J Henkel, ...
IEEE Micro 40 (6), 74-84, 2020
132020
Falcon
D Soni, K Basu, M Nabeel, N Aaraj, M Manzano, R Karri, D Soni, K Basu, ...
Hardware Architectures for Post-Quantum Digital Signature Schemes, 31-41, 2021
122021
CoPHEE: Co-processor for partially homomorphic encrypted execution
M Nabeel, M Ashraf, E Chielle, NG Tsoutsos, M Maniatakos
2019 IEEE International Symposium on Hardware Oriented Security and Trust …, 2019
122019
Design flow for active interposer-based 2.5-D ICs and study of RISC-V architecture with secure NoC
H Park, J Kim, VCK Chekuri, MA Dolatsara, M Nabeel, A Bojesomo, ...
IEEE Transactions on Components, Packaging and Manufacturing Technology 10 …, 2020
112020
CoFHEE: A co-processor for fully homomorphic encryption execution
M Nabeel, D Soni, M Ashraf, MA Gebremichael, H Gamil, E Chielle, ...
2023 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-2, 2023
92023
An interposer-based root of trust: Seize the opportunity for secure system-level integration of untrusted chiplets
M Nabeel, M Ashraf, S Patnaik, V Soteriou, O Sinanoglu, J Knechtel
arXiv preprint arXiv:1906.02044, 2019
92019
Power, area, speed, and security (PASS) trade-offs of NIST PQC signature candidates using a C to ASIC design flow
D Soni, M Nabeel, K Basu, R Karri
2019 IEEE 37th International Conference on Computer Design (ICCD), 337-340, 2019
82019
Sustav trenutno ne može provesti ovu radnju. Pokušajte ponovo kasnije.
Članci 1–20