Prati
Yiqun Zhang
Yiqun Zhang
Potvrðena adresa e-po¹te na umich.edu - Poèetna stranica
Naslov
Citirano
Citirano
Godina
An ultra-low power fully integrated energy harvester based on self-oscillating switched-capacitor voltage doubler
W Jung, S Oh, S Bang, Y Lee, Z Foo, G Kim, Y Zhang, D Sylvester, ...
IEEE Journal of Solid-State Circuits 49 (12), 2800-2811, 2014
1912014
Recryptor: A Reconfigurable Cryptographic Cortex-M0 Processor With In-Memory and Near-Memory Computing for IoT Security
Y Zhang, L Xu, Q Dong, J Wang, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits, 2018
1062018
9.2 A 0.6 nJ− 0.22/+ 0.19 C inaccuracy temperature sensor using exponential subthreshold oscillation dependence
K Yang, Q Dong, W Jung, Y Zhang, M Choi, D Blaauw, D Sylvester
Solid-State Circuits Conference (ISSCC), 2017 IEEE International, 160-161, 2017
1032017
A 1Mb 28nm STT-MRAM with 2.8 ns read access time at 1.2 V VDD using single-cap offset-cancelled sense amplifier and in-situ self-write-termination
Q Dong, Z Wang, J Lim, Y Zhang, YC Shih, YD Chih, J Chang, D Blaauw, ...
Solid-State Circuits Conference-(ISSCC), 2018 IEEE International, 480-482, 2018
862018
iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor
Y Zhang, M Khayatzadeh, K Yang, M Saligane, N Pinckney, M Alioto, ...
IEEE Journal of Solid-State Circuits 53 (2), 619-631, 2018
792018
8.8 iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor
Y Zhang, M Khayatzadeh, K Yang, M Saligane, N Pinckney, M Alioto, ...
Solid-State Circuits Conference (ISSCC), 2016 IEEE International, 160-162, 2016
592016
A 1-Mb 28-nm 1T1MTJ STT-MRAM With Single-Cap Offset-Cancelled Sense Amplifier and In Situ Self-Write-Termination
Q Dong, Z Wang, J Lim, Y Zhang, ME Sinangil, YC Shih, YD Chih, ...
IEEE Journal of Solid-State Circuits, 2018
552018
A 0.04MM316NW Wireless and Batteryless Sensor System with Integrated Cortex-M0+ Processor and Optical Communication for Cellular Temperature Measurement
X Wu, I Lee, Q Dong, K Yang, D Kim, J Wang, Y Peng, Y Zhang, ...
2018 IEEE Symposium on VLSI Circuits, 191-192, 2018
512018
A compact 446 Gbps/W AES accelerator for mobile SoC and IoT in 40nm
Y Zhang, K Yang, M Saligane, D Blaauw, D Sylvester
VLSI Circuits (VLSI-Circuits), 2016 IEEE Symposium on, 1-2, 2016
502016
A Self-Tuning IoT Processor Using Leakage-Ratio Measurement for Energy-Optimal Operation
J Lee, Y Zhang, Q Dong, W Lim, M Saligane, Y Kim, S Jeong, J Lim, ...
IEEE Journal of Solid-State Circuits 55 (1), 87-97, 2019
452019
Recryptor: A reconfigurable in-memory cryptographic Cortex-M0 processor for IoT
Y Zhang, L Xu, K Yang, Q Dong, S Jeloka, D Blaauw, D Sylvester
VLSI Circuits, 2017 Symposium on, C264-C265, 2017
422017
19.2 A 6.4 pJ/Cycle Self-Tuning Cortex-M0 IoT Processor Based on Leakage-Ratio Measurement for Energy-Optimal Operation Across Wide-Range PVT Variation
J Lee, Y Zhang, Q Dong, W Lim, M Saligane, Y Kim, S Jeong, J Lim, ...
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 314-315, 2019
212019
A 6×5×4mm3 general purpose audio sensor node with a 4.7μW audio processing IC
M Cho, S Oh, S Jeong, Y Zhang, I Lee, Y Kim, LX Chuo, D Kim, Q Dong, ...
VLSI Circuits, 2017 Symposium on, C312-C313, 2017
202017
All-digital SoC thermal sensor using on-chip high order temperature curvature correction
M Saligane, M Khayatzadeh, Y Zhang, S Jeong, D Blaauw, D Sylvester
Custom Integrated Circuits Conference (CICC), 2015 IEEE, 1-4, 2015
172015
AA-ResNet: Energy Efficient All-Analog ResNet Accelerator
J Lim, M Choi, B Liu, T Kang, Z Li, Z Wang, Y Zhang, K Yang, D Blaauw, ...
2020 IEEE 63rd International Midwest Symposium on Circuits and Systems …, 2020
52020
Sustav trenutno ne mo¾e provesti ovu radnju. Poku¹ajte ponovo kasnije.
Èlanci 1–15